virtual method怎么使用

本文主要介绍"virtual method如何使用",希望能够解决您遇到有关问题,下面我们一起来看这篇 "virtual method如何使用" 文章。

method是class中子程序,包括function和task。

当直接将子类赋值给父类,再调用父类中的方法时,实际调用的还是父类中的方法。除非该方法被virtual声明,子类就可以覆盖父类中的方法。

这是systemverilog面向对象语言的多态(polymorphism)特征。

用关键字virtual声明的SystemVerilog方法称为virtual methods。

Virtual Functions模板:


virtual function function_name;//Function definitionendfunction

Virtual Tasks模板:


virtual task task_name;//task definitionendtask

在示例1中,父类内部的方法声明时没有virtual关键字,赋值后b_c.display()将调用父类的方法。

class base_class;
 function void display;    $display("Inside base_class");  endfunction
endclass
class extended_class extends base_class;
 function void display;    $display("Inside extended class");  endfunction
endclass module virtual_class;  initial begin    base_class    b_c;    extended_class e_c;
   e_c = new();    b_c = e_c;
   b_c.display();  endendmodule

 输出:


Inside base_class

 

在示例2中,父类内部的方法声明时加上virtual关键字,赋值后b_c.display()将调用子类的方法。


class base_class;
 virtual function void display;    $display("Inside base_class");  endfunction
endclass
class extended_class extends base_class;
 virtual  function void display;    $display("Inside extended class");  endfunction
endclass module virtual_class;  initial begin    base_class    b_c;    extended_class e_c;
   e_c = new();    b_c = e_c;
   b_c.display();  endendmodule

输出:


Inside extended class

pure virtual method

virtual method:这是一种可以在派生类中继承的方法,该方法可以在派生类中被覆盖。

pure virtual method:这是在Virtual Class(可以扩展但不能实例化的类,仅作为基础类或原型类)中声明的virtual method。在派生类必须具有该方法的具体实现。

pure virtual method只能是原型或模板。

pure virtual method内部不能具有任何实现。

pure virtual method不允许使用“ end”关键字,例如endfunction / endtask。

virtual class base_class;  pure virtual function void display;endclass
class extended_class extends base_class;  virtual  function void display;    $display("Inside extended class");  endfunctionendclass
module virtual_class;  initial begin    extended_class e_c;    e_c = new();    e_c.display();  endendmodule

如果有一个带有pure virtual method的Virtual Class,则必须在子类中对其具体实现。但对于virtual method,可以覆盖也可以不覆盖。

关于 "virtual method如何使用" 就介绍到这。希望大家多多支持编程宝库

virtual interface怎么使用:本文主要介绍"virtual interface如何使用",希望能够解决您遇到有关问题,下面我们一起来看这篇 "virtual interface如何使用" 文章。interface 封装了模块的 ...